Commit 2291d6ee authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

wishbonized: little cleanup

parent 3151840a
......@@ -12,6 +12,3 @@ modules = {"local" :
"modules/wrc_core" ],
"git" : "git://ohwr.org/hdl-core-lib/general-cores.git::wishbone_with_adapter"
}
\ No newline at end of file
......@@ -6,7 +6,7 @@
-- Author : Grzegorz Daniluk
-- Company : Elproma
-- Created : 2011-02-02
-- Last update: 2011-11-09
-- Last update: 2011-12-09
-- Platform : FPGA-generics
-- Standard : VHDL
-------------------------------------------------------------------------------
......
......@@ -309,7 +309,11 @@ architecture rtl of spec_top is
tm_cycles_o : out std_logic_vector(27 downto 0);
rst_aux_n_o : out std_logic;
dio_o : out std_logic_vector(3 downto 0));
dio_o : out std_logic_vector(3 downto 0);
owr_en_o : out std_logic;
owr_i : in std_logic
);
end component;
component wr_gtp_phy_spartan6
......@@ -824,10 +828,12 @@ begin
ext_src_we_o => mbone_snk_in.we,
ext_src_ack_i => mbone_snk_out.ack,
ext_src_err_i => mbone_snk_out.err,
ext_src_stall_i => mbone_snk_out.stall
ext_src_stall_i => mbone_snk_out.stall,
owr_i => '0'
);
U_MiniBone: xmini_bone
U_MiniBone : xmini_bone
generic map (
g_class_mask => x"f0",
g_our_ethertype => x"a0a0")
......@@ -844,7 +850,7 @@ begin
U_DPRAM: xwb_dpram
U_DPRAM : xwb_dpram
generic map (
g_size => 2048,
g_init_file => "",
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment