Commit 38ff357f authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_streamers: testbench for fixed latency mode

parent 5bc9e108
......@@ -135,3 +135,6 @@
`define ADDR_WR_STREAMERS_DUMMY 8'h84
`define WR_STREAMERS_DUMMY_DUMMY_OFFSET 0
`define WR_STREAMERS_DUMMY_DUMMY 32'hffffffff
`define ADDR_WR_STREAMERS_RSTR 8'h88
`define WR_STREAMERS_RSTR_RST_SW_OFFSET 0
`define WR_STREAMERS_RSTR_RST_SW 32'h00000001
......@@ -72,6 +72,7 @@ module main;
// word.
parameter g_record_size = 64;
parameter g_wr_cycles_per_second = 10000;
parameter g_fixed_latency_pipeline_delay = 96 / 8;
......@@ -299,18 +300,21 @@ module main;
if( rx_streamer_data != qe.data )
begin
$error("Failure: got rec %x, should be %x", rx_streamer_data, qe.data);
$stop;
end
//$display("Tx ts %t rx ts %t", qe.ts, ts_rx);
delta = ts_rx - qe.ts - rx_streamer_cfg.fixed_latency * 8ns;
delta = ts_rx - qe.ts - rx_streamer_cfg.fixed_latency * 8ns - g_fixed_latency_pipeline_delay * 8ns;
if (delta != 0)
begin
$error("Failure: delta latency != 0 (%t)", delta);
// $stop;
end
$display("delta: %t", delta);
end // if (rx_streamer_dvalid)
end // else: !if(!rst)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment