Commit 49239109 authored by Maciej Lipinski's avatar Maciej Lipinski Committed by Grzegorz Daniluk

[WR Streamers/testbench] pass to tx streamer information about simlation

The streamer has a new start-up delay counter. this delay needs to be
shorter for simulation. the fact that we are running simulation was not
passed to the Tx streamer, now it is passed.
parent 9513a851
......@@ -715,7 +715,10 @@ begin
-- minimum timeout: sends packets asap to minimize latency (but it's not
-- good for large amounts of data due to encapsulation overhead)
g_tx_timeout => 1)
g_tx_timeout => 1,
-- when simulating, the startup countdown is shorter
g_simulation => g_simulation)
port map (
clk_sys_i => clk_sys,
rst_n_i => rst_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment