Commit 4cf3a2df authored by Peter Jansweijer's avatar Peter Jansweijer Committed by Grzegorz Daniluk

forwarded timestamp helper signals from xwr_endpoint to the boundary of xwr_core

parent 4ef20fad
......@@ -265,6 +265,8 @@ entity wr_core is
txtsu_ts_incorrect_o : out std_logic;
txtsu_stb_o : out std_logic;
txtsu_ack_i : in std_logic := '1';
txts_o : out std_logic;
rxts_o : out std_logic;
-----------------------------------------
-- Pause Frame Control
......@@ -776,6 +778,8 @@ begin
wb_i => ep_wb_in,
wb_o => ep_wb_out,
rmon_events_o => open,
txts_o => txts_o,
rxts_o => rxts_o,
fc_tx_pause_req_i => fc_tx_pause_req_i,
fc_tx_pause_delay_i => fc_tx_pause_delay_i,
fc_tx_pause_ready_o => fc_tx_pause_ready_o,
......
......@@ -445,6 +445,9 @@ package wrcore_pkg is
timestamps_o : out t_txtsu_timestamp;
timestamps_ack_i : in std_logic := '1';
txts_o : out std_logic;
rxts_o : out std_logic;
fc_tx_pause_req_i : in std_logic := '0';
fc_tx_pause_delay_i : in std_logic_vector(15 downto 0) := x"0000";
fc_tx_pause_ready_o : out std_logic;
......@@ -660,6 +663,8 @@ package wrcore_pkg is
txtsu_ts_incorrect_o : out std_logic;
txtsu_stb_o : out std_logic;
txtsu_ack_i : in std_logic := '1';
txts_o : out std_logic;
rxts_o : out std_logic;
-----------------------------------------
-- Pause Frame Control
......
......@@ -216,6 +216,12 @@ entity xwr_core is
timestamps_o : out t_txtsu_timestamp;
timestamps_ack_i : in std_logic := '1';
-----------------------------------------
-- Timestamp helper signals, used for Absolute Calibration
-----------------------------------------
txts_o : out std_logic;
rxts_o : out std_logic;
-----------------------------------------
-- Pause Frame Control
-----------------------------------------
......@@ -392,7 +398,9 @@ begin
txtsu_ts_incorrect_o => timestamps_o.incorrect,
txtsu_stb_o => timestamps_o.stb,
txtsu_ack_i => timestamps_ack_i,
txts_o => txts_o,
rxts_o => rxts_o,
fc_tx_pause_req_i => fc_tx_pause_req_i,
fc_tx_pause_delay_i => fc_tx_pause_delay_i,
fc_tx_pause_ready_o => fc_tx_pause_ready_o,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment