Commit 7b34c90c authored by Dimitris Lampridis's avatar Dimitris Lampridis

ip_cores: add vme64x-core (for SVEC and VFC-HD reference designs)

parent 912b3cad
......@@ -7,3 +7,6 @@
[submodule "ip_cores/gn4124-core"]
path = ip_cores/gn4124-core
url = git://ohwr.org/hdl-core-lib/gn4124-core.git
[submodule "ip_cores/vme64x-core"]
path = ip_cores/vme64x-core
url = git://ohwr.org/hdl-core-lib/vme64x-core.git
vme64x-core @ 52d53744
Subproject commit 52d537441e6187619dee7b908534d72408cc03f0
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment