Commit 86df7f9c authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

spec_top has now 128k RAM

parent 3812f0a4
......@@ -655,7 +655,7 @@ begin
g_ep_rxbuf_size => 1024,
g_dpram_initf => "wrc.ram",
g_aux_sdb => c_etherbone_sdb,
g_dpram_size => 90112/4, --16384,
g_dpram_size => 131072/4,
g_interface_mode => PIPELINED,
g_address_granularity => BYTE)
port map (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment