Commit d7e0019f authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

rename wrsw_txtsu to wr_txtsu after importing from wr-switch-hdl repo

parent ad0b15e7
files = ["xwr_txtsu.vhd",
"wr_txtsu_wb.vhd",
"wr_txtsu_pkg.vhd"]
......@@ -4,7 +4,7 @@ peripheral {
name = "Shared TX Timestamping Unit (TXTSU)";
prefix="txtsu";
hdl_entity="wrsw_txtsu_wb";
hdl_entity="wr_txtsu_wb";
-- TXTSU shared FIFO
......@@ -78,4 +78,4 @@ peripheral {
trigger = LEVEL_1;
};
};
\ No newline at end of file
};
library ieee;
use ieee.std_logic_1164.all;
package wrsw_txtsu_pkg is
package wr_txtsu_pkg is
-- t_txtsu_timestamp was here, but now it's moved to wr_endpoint_pkg.
end wrsw_txtsu_pkg;
end wr_txtsu_pkg;
......@@ -2,7 +2,7 @@
-- Title : TX Timestamping Unit
-- Project : White Rabbit Switch
-------------------------------------------------------------------------------
-- File : xwrsw_txtsu.vhd
-- File : xwr_txtsu.vhd
-- Author : Tomasz Wlostowski
-- Company : CERN BE-Co-HT
-- Created : 2010-04-26
......@@ -57,9 +57,9 @@ library work;
use work.wishbone_pkg.all;
use work.endpoint_pkg.all;
use work.wrsw_txtsu_pkg.all;
use work.wr_txtsu_pkg.all;
entity xwrsw_tx_tsu is
entity xwr_tx_tsu is
generic (
g_num_ports : integer := 10;
......@@ -92,10 +92,10 @@ entity xwrsw_tx_tsu is
end xwrsw_tx_tsu;
end xwr_tx_tsu;
architecture syn of xwrsw_tx_tsu is
architecture syn of xwr_tx_tsu is
component wrsw_txtsu_wb
......
files = ["xwrsw_txtsu.vhd",
"wrsw_txtsu_wb.vhd",
"wrsw_txtsu_pkg.vhd"]
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment