Commit de2a57b6 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

board_svec: fix typo

parent 2fb3cabc
......@@ -426,8 +426,8 @@ begin -- architecture struct
g_simulation => g_simulation,
g_with_external_clock_input => g_with_external_clock_input,
g_board_name => "SVEC",
g_flash_secsz_kb => 256; -- default for M25P128
g_flash_sdbfs_baddr => 16#600000#; -- default for M25P128
g_flash_secsz_kb => 256, -- default for M25P128
g_flash_sdbfs_baddr => 16#600000#, -- default for M25P128
g_phys_uart => TRUE,
g_virtual_uart => TRUE,
g_aux_clks => g_aux_clks,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment