Commit f1f97978 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

board/svec: removed dependency on etherbone_pkg and repo

parent 2573b6cd
......@@ -41,7 +41,6 @@ library work;
use work.gencores_pkg.all;
use work.wrcore_pkg.all;
use work.wishbone_pkg.all;
use work.etherbone_pkg.all;
use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all;
use work.streamers_pkg.all;
......@@ -258,6 +257,24 @@ end entity xwrc_board_common;
architecture struct of xwrc_board_common is
component eb_ethernet_slave is
generic(
g_sdb_address : std_logic_vector(63 downto 0);
g_timeout_cycles : natural := 6250000; -- 100 ms at 62.5MHz
g_mtu : natural := 1500);
port(
clk_i : in std_logic;
nRst_i : in std_logic;
snk_i : in t_wrf_sink_in;
snk_o : out t_wrf_sink_out;
src_o : out t_wrf_source_out;
src_i : in t_wrf_source_in;
cfg_slave_o : out t_wishbone_slave_out;
cfg_slave_i : in t_wishbone_slave_in;
master_o : out t_wishbone_master_out;
master_i : in t_wishbone_master_in);
end component;
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
......
......@@ -7,7 +7,7 @@
-- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch>
-- Company : CERN (BE-CO-HT)
-- Created : 2017-02-16
-- Last update: 2017-07-04
-- Last update: 2018-06-04
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules
......@@ -43,7 +43,6 @@ library work;
use work.gencores_pkg.all;
use work.wrcore_pkg.all;
use work.wishbone_pkg.all;
use work.etherbone_pkg.all;
use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all;
use work.streamers_pkg.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment