Commit f319ee50 authored by Peter Jansweijer's avatar Peter Jansweijer

family7-gthe4-lp lpdc via wishbone mdio

parent 1ee142a3
Pipeline #4667 failed with stage