Commit f7d5aa33 authored by Dimitris Lampridis's avatar Dimitris Lampridis Committed by Grzegorz Daniluk

wrpc/diags: drive unused wb int to ground

parent 5d97f9a3
......@@ -124,7 +124,8 @@ begin
slave_o.err <= '0';
slave_o.rty <= '0';
slave_o.int <= '0';
end syn;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment