Commit f99a845e authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

modules/wr_tbi_phy: dec_8b10b is now in general_cores

parent 3840ad26
files = [ "dec_8b10b.vhd",
"enc_8b10b.vhd",
files = [ "enc_8b10b.vhd",
"wr_tbi_phy.vhd",
"disparity_gen_pkg.vhd" ];
......@@ -66,7 +66,7 @@ end wr_tbi_phy;
architecture rtl of wr_tbi_phy is
component dec_8b10b
component gc_dec_8b10b
port (
clk_i : in std_logic;
rst_n_i : in std_logic;
......@@ -124,7 +124,7 @@ begin -- rtl
end if;
end process;
U_DEC : dec_8b10b
U_DEC : gc_dec_8b10b
port map (
clk_i => tbi_rbclk_i,
rst_n_i => rst_synced_rbclk_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment