Commit fef6235a authored by Dimitris Lampridis's avatar Dimitris Lampridis Committed by Grzegorz Daniluk

[streamers] removed unused internal signals

parent 9ad5c482
......@@ -129,18 +129,6 @@ architecture rtl of xrtx_streamers_stats is
signal latency_acc : unsigned(g_acc_width-1+1 downto 0);
signal latency_acc_overflow: std_logic;
-- snaphsot
signal sent_frame_cnt_d1 : unsigned(g_cnt_width-1 downto 0);
signal rcvd_frame_cnt_d1 : unsigned(g_cnt_width-1 downto 0);
signal lost_frame_cnt_d1 : unsigned(g_cnt_width-1 downto 0);
signal lost_block_cnt_d1 : unsigned(g_cnt_width-1 downto 0);
signal latency_cnt_d1 : unsigned(g_cnt_width-1 downto 0);
signal latency_max_d1 : std_logic_vector(27 downto 0);
signal latency_min_d1 : std_logic_vector(27 downto 0);
signal latency_acc_d1 : unsigned(g_acc_width-1+1 downto 0);
signal latency_acc_overflow_d1: std_logic;
signal sent_frame_cnt_out : std_logic_vector(g_cnt_width-1 downto 0);
signal rcvd_frame_cnt_out : std_logic_vector(g_cnt_width-1 downto 0);
signal lost_frame_cnt_out : std_logic_vector(g_cnt_width-1 downto 0);
......@@ -335,4 +323,4 @@ begin
snmp_array_o(12)(31 downto c_aw-32) <= (others => '0');
end generate;
end rtl;
\ No newline at end of file
end rtl;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment