wr_core: ouput vectors for g_aux_clks=0
when g_aux_clks=0, the output vectors are std_logic_vector(-1 downto
0)
tm_dac_wr_o : out std_logic_vector(g_aux_clks-1 downto 0);
tm_clk_aux_locked_o : out std_logic_vector(g_aux_clks-1 downto
0);
tm_clk_aux_lock_en_i : in std_logic_vector(g_aux_clks-1 downto
0)
if you have something connected to these vectors (which yo should not in
principle),
you have problems.
probably good to make it "safe"