• Maciej Lipinski's avatar
    [wr_streamers] added generic to set simulation startup timer value · 359052ac
    Maciej Lipinski authored
    when streamers are used in a simulation of top entity, the startup
    timer is needed, thought it should be appropriate for the simulation
    time. when streamers are simulated alone, the startup timer is
    not needed. the added generic allows to set the timer (i.e. override
    the default value to zero)
    359052ac
Name
Last commit
Last update
..
fabric Loading commit data...
timing Loading commit data...
wr_dacs Loading commit data...
wr_eca Loading commit data...
wr_endpoint Loading commit data...
wr_mini_nic Loading commit data...
wr_pps_gen Loading commit data...
wr_si57x_interface Loading commit data...
wr_softpll_ng Loading commit data...
wr_streamers Loading commit data...
wr_tbi_phy Loading commit data...
wr_tlu Loading commit data...
wrc_core Loading commit data...
Manifest.py Loading commit data...