• Maciej Lipinski's avatar
    [wr-streamers] bugfix and added reset of stats after (re-)start and synch · 79f5b749
    Maciej Lipinski authored
    1) bugfix:
       - tm_time_valid_i signal from ref_clk domain was used in sys_clk
         to gate statistics in xrx_streamers_stats.vhd - likely this gating
         was not needed because statistics are done when rcvd_latency_valid_i
         is true and this should be true only when time is valid, anyway
       - added gc_sync_ffs to synchronize tm_time_valid_i to sys_clk
         (added in xrtx_streamers_stats.vhd
    
    2) new feature
       - added initial reset of statistics when the WR node is first
         synchronized after powerup/reset.
       - this is useful so that we have a valid reset timestamp without
         a need for a management tool to reset them. So far, when a device
         was started, the reset timestamp was zero, so without additional
         action of reseting stats, it was not possible to know when the
         stats were started
    79f5b749
Name
Last commit
Last update
bin Loading commit data...
board Loading commit data...
ip_cores Loading commit data...
modules Loading commit data...
platform Loading commit data...
sim Loading commit data...
syn Loading commit data...
testbench Loading commit data...
top Loading commit data...
.gitignore Loading commit data...
.gitlab-ci.yml Loading commit data...
.gitmodules Loading commit data...
Manifest.py Loading commit data...