Commit 14bca276 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

g_simulation parameter needs to be set for wr_core and gtp to speed up the simulation of top module

parent 0459bdfe
......@@ -95,7 +95,8 @@ use work.wrnic_sdb_pkg.all;
entity wr_nic_sdb_top is
generic
(
g_nic_usedma : boolean := false);
g_nic_usedma : boolean := false;
g_simulation : integer := 0);
port
(
-- Global ports
......@@ -835,7 +836,7 @@ begin
-------------------------------------
U_WR_CORE : xwr_core
generic map (
g_simulation => 0,
g_simulation => g_simulation,
g_phys_uart => true,
g_virtual_uart => true,
g_with_external_clock_input => true,
......@@ -1009,7 +1010,7 @@ begin
U_GTP : wr_gtp_phy_spartan6
generic map (
g_simulation => 0)
g_simulation => g_simulation)
port map (
gtp_clk_i => gtp_dedicated_clk,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment