Commit 05838a8a authored by Marek Gumiński's avatar Marek Gumiński

Fixed data width change in the swc core.

parent 6595de29
......@@ -708,7 +708,7 @@ begin --archS_PCKSTART_SET_AND_REQ
in_pck_dvalid <= snk_stb_int and snk_we_int and snk_cyc_int and not snk_stall_int;
-- we store in FBM addr and data
in_pck_dat <= snk_adr_int & snk_dat_int(15 downto 0);
in_pck_dat <= snk_adr_int & snk_dat_int;
-- detecting the end of the pck
-- it is enough always, except special case when we receive eof during PAUSE state,
......@@ -998,6 +998,9 @@ begin --archS_PCKSTART_SET_AND_REQ
-- rp_in_pck_err <= '1';
mpm_dvalid <= '1';
mpm_data <= c_WRF_STATUS & -- generate error msg for out_block
f_marshall_wrf_status(c_wrf_status_error) &
f_marshall_wrf_status(c_wrf_status_error) &
f_marshall_wrf_status(c_wrf_status_error) &
f_marshall_wrf_status(c_wrf_status_error);
elsif((in_pck_dvalid = '1' and in_pck_dvalid_d0 = '1') or finish_rcv_pck = '1') then
-- write to MPM data only if you know two consequtive WB writes, this is to detect EOF and
......@@ -2554,7 +2557,7 @@ p_encode_byte_selects : process(snk_i)
begin
if(unsigned(not snk_i.sel) /= 0) then
snk_adr_int <= c_WRF_USER;
snk_dat_int(15 downto 8) <= snk_i.dat(15 downto 8);
snk_dat_int(63 downto 8) <= snk_i.dat(63 downto 8);
snk_dat_int(7 downto 6) <= snk_i.adr;
snk_dat_int(5 downto 4) <= snk_i.sel;
snk_dat_int(3 downto 0) <= (others => 'X');
......
......@@ -799,8 +799,7 @@ begin -- behavoural
if(src_i.stall = '0') then
if(mpm_dvalid_i = '1') then -- a avoid copying crap (i.e. XXX)
src_out_int.adr <= mpm2wb_adr_int;
src_out_int.dat <= (others => '0');
src_out_int.dat(15 downto 0) <= mpm2wb_dat_int;
src_out_int.dat <= mpm2wb_dat_int;
src_out_int.sel <= mpm2wb_sel_int;
end if;
src_out_int.stb <= mpm_dvalid_i;
......@@ -820,8 +819,10 @@ begin -- behavoural
elsif(abord_tx_at_hp = '1' and mpm_dlast_i = '0') then -- drop at HP in the outqueue
s_send_pck <= S_FINISH_CYCLE; -- we free page in EOF
src_out_int.adr <= c_WRF_STATUS;
src_out_int.dat <= (others => '0');
src_out_int.dat(15 downto 0) <= f_marshall_wrf_status(wrf_status_err);
src_out_int.dat <= f_marshall_wrf_status(wrf_status_err) &
f_marshall_wrf_status(wrf_status_err) &
f_marshall_wrf_status(wrf_status_err) &
f_marshall_wrf_status(wrf_status_err);
src_out_int.sel <= (others => '1');
src_out_int.stb <= '1';
elsif(src_i.stall = '1' and mpm_dvalid_i = '1') then
......@@ -849,8 +850,7 @@ begin -- behavoural
src_out_int.cyc <= '0';
src_out_int.stb <= '0';
elsif(src_i.stall = '0') then
src_out_int.dat <= (others => '0');
src_out_int.dat(15 downto 0) <= tmp_dat;
src_out_int.dat <= tmp_dat;
src_out_int.adr <= tmp_adr;
src_out_int.stb <= '1';
src_out_int.sel <= tmp_sel;
......
......@@ -1203,7 +1203,7 @@ begin
g_pck_pg_free_fifo_size => 512,
g_input_block_cannot_accept_data => "drop_pck",
g_output_block_per_queue_fifo_size=> 64,
g_wb_data_width => 16,
g_wb_data_width => 64,
g_wb_addr_width => 2,
g_wb_sel_width => 2,
g_wb_ob_ignore_ack => false,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment