Commit 3046adc4 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

modules/nic: nic_elastic_buffer update package

parent 256f798c
......@@ -36,7 +36,7 @@ use ieee.std_logic_1164.all;
use ieee.NUMERIC_STD.all;
library work;
use work.endpoint_private_pkg.all; -- dirty hack, again
use work.endpoint_pkg.all; -- dirty hack, again
use work.genram_pkg.all;
use work.wr_fabric_pkg.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment