Commit 5e7d55d6 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

remove unused I/Os and assign default values

parent 1c33a80f
......@@ -301,10 +301,10 @@ package wrsw_top_pkg is
clk_ref_i : in std_logic;
clk_dmtd_i : in std_logic;
clk_aux_i : in std_logic;
clk_ext_mul_i : in std_logic;
clk_ext_mul_locked_i : in std_logic;
clk_ext_db_i : in std_logic;
ext_board_detected_i: in std_logic;
clk_ext_mul_i : in std_logic := '0';
clk_ext_mul_locked_i : in std_logic := '0';
clk_ext_db_i : in std_logic := '0';
ext_board_detected_i: in std_logic := '0';
clk_sys_o : out std_logic;
cpu_wb_i : in t_wishbone_slave_in;
cpu_wb_o : out t_wishbone_slave_out;
......
......@@ -12,8 +12,6 @@ NET "fpga_clk_dmtd_n_i" LOC=M22;
NET "clk_aux_p_o" LOC=B20;
NET "clk_aux_n_o" LOC=C19;
NET "clk_500_o" LOC=AM33;
NET "clk_sys_dbg_o" LOC=AL33;
NET "sensors_scl_b" LOC=G13;
NET "sensors_sda_b" LOC=H14;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment