Commit 68dda3e8 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

swcore: swc_ll_read_data_validate supports generic number of write interfaces

parent cc4a9925
......@@ -749,8 +749,9 @@ component swc_multiport_pck_pg_free_module is
component swc_ll_read_data_validation is
generic(
g_addr_width : integer ;--:= c_swc_page_addr_width;
g_data_width : integer --:= c_swc_page_addr_width
g_addr_width : integer;--:= c_swc_page_addr_width;
g_data_width : integer;--:= c_swc_page_addr_width
g_wports : integer
);
port(
clk_i : in std_logic;
......@@ -763,10 +764,9 @@ component swc_multiport_pck_pg_free_module is
read_data_valid_i : in std_logic;
read_data_ready_i : in std_logic;
write_addr_i : in std_logic_vector(g_addr_width - 1 downto 0);
write_data_i : in std_logic_vector(g_data_width - 1 downto 0);
write_data_valid_i : in std_logic;
write_data_ready_i : in std_logic;
write_addr_i : in t_lladr_array(g_wports-1 downto 0);
write_data_i : in t_lldat_array(g_wports-1 downto 0);
write_data_ready_i : in std_logic_vector(g_wports-1 downto 0);
read_data_o : out std_logic_vector(g_data_width - 1 downto 0);
read_data_valid_o : out std_logic
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment