Commit 7cce708a authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

wrsw_nic: do not set stb to 0 at the end of the TX frame if stall is not 0

This way one Tx OOB word may be lost, and tx timestamp won't be generated
causing _wrn_start_xmit: descriptor overflow: tx timestamp pending_ message from
wr-nic driver
parent 8fa0e453
......@@ -441,8 +441,10 @@ begin -- behavioral
end if;
when TX_END_PACKET =>
src_stb_int <= '0';
src_o.sel <= "11";
if( src_i.stall='0') then
src_stb_int <= '0';
end if;
if( src_i.stall='0' and ack_count = 0) then
state <= TX_UPDATE_DESCRIPTOR;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment