Commit 868f54fb authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

[switch-optimization]: update wrsw_nic component in package and bare_top

parent e751e48c
......@@ -525,7 +525,8 @@ begin
U_Nic : xwrsw_nic
generic map (
g_interface_mode => PIPELINED,
g_address_granularity => BYTE)
g_address_granularity => BYTE,
g_port_mask_bits => c_NUM_PORTS+1)
port map (
clk_sys_i => clk_sys,
rst_n_i => rst_n_sys,
......@@ -533,7 +534,7 @@ begin
snk_o => endpoint_snk_out(c_NUM_PORTS),
src_i => endpoint_src_in(c_NUM_PORTS),
src_o => endpoint_src_out(c_NUM_PORTS),
rtu_dst_port_mask_o => rtu_rsp(c_NUM_PORTS).port_mask(31 downto 0),
rtu_dst_port_mask_o => rtu_rsp(c_NUM_PORTS).port_mask(c_NUM_PORTS downto 0),
rtu_prio_o => rtu_rsp(c_NUM_PORTS).prio,
rtu_drop_o => rtu_rsp(c_NUM_PORTS).drop,
rtu_rsp_valid_o => rtu_rsp(c_NUM_PORTS).valid,
......
......@@ -138,7 +138,9 @@ package wrsw_components_pkg is
component xwrsw_nic
generic (
g_interface_mode : t_wishbone_interface_mode;
g_address_granularity : t_wishbone_address_granularity);
g_address_granularity : t_wishbone_address_granularity;
g_src_cyc_on_stall : boolean := false;
g_port_mask_bits : integer := 32); --should be num_ports+1
port (
clk_sys_i : in std_logic;
rst_n_i : in std_logic;
......@@ -146,7 +148,7 @@ package wrsw_components_pkg is
snk_o : out t_wrf_sink_out;
src_i : in t_wrf_source_in;
src_o : out t_wrf_source_out;
rtu_dst_port_mask_o : out std_logic_vector(31 downto 0);
rtu_dst_port_mask_o : out std_logic_vector(g_port_mask_bits-1 downto 0);
rtu_prio_o : out std_logic_vector(2 downto 0);
rtu_drop_o : out std_logic;
rtu_rsp_valid_o : out std_logic;
......
......@@ -141,7 +141,9 @@ package wrsw_top_pkg is
component xwrsw_nic
generic (
g_interface_mode : t_wishbone_interface_mode;
g_address_granularity : t_wishbone_address_granularity);
g_address_granularity : t_wishbone_address_granularity;
g_src_cyc_on_stall : boolean := false;
g_port_mask_bits : integer := 32); --should be num_ports+1
port (
clk_sys_i : in std_logic;
rst_n_i : in std_logic;
......@@ -149,7 +151,7 @@ package wrsw_top_pkg is
snk_o : out t_wrf_sink_out;
src_i : in t_wrf_source_in;
src_o : out t_wrf_source_out;
rtu_dst_port_mask_o : out std_logic_vector(31 downto 0);
rtu_dst_port_mask_o : out std_logic_vector(g_port_mask_bits-1 downto 0);
rtu_prio_o : out std_logic_vector(2 downto 0);
rtu_drop_o : out std_logic;
rtu_rsp_valid_o : out std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment