Commit b8643bb4 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

set build generics for next release

parent 13539b96
......@@ -250,6 +250,7 @@ architecture Behavioral of scb_top_synthesis is
g_with_TRU : boolean;
g_with_TATSU : boolean;
g_with_HWDU : boolean;
g_with_HWIU : boolean;
g_with_PSTATS : boolean;
g_with_muxed_CS : boolean;
g_inj_per_EP : std_logic_vector(17 downto 0));
......@@ -612,7 +613,8 @@ begin
g_without_network => false,
g_with_TRU => false,
g_with_TATSU => false,
g_with_HWDU => true,
g_with_HWDU => false,
g_with_HWIU => true,
g_with_PSTATS => true,
g_with_muxed_CS => false,
g_inj_per_EP => "00" & x"0003") --only first two ports have injection engine
......
......@@ -614,8 +614,8 @@ begin
g_num_ports => c_NUM_PORTS,
g_simulation => g_simulation,
g_without_network => false,
g_with_TRU => true,
g_with_TATSU => true,
g_with_TRU => false,
g_with_TATSU => false,
g_with_HWDU => false,
g_with_HWIU => true,
g_with_PSTATS => true,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment