Commit d315bf7b authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

update gateware version to v6.0

parent 8b7d73e6
...@@ -2,8 +2,8 @@ library ieee; ...@@ -2,8 +2,8 @@ library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
--generated automatically by gen_ver.py script-- --generated automatically by gen_ver.py script--
package hwver_pkg is package hwver_pkg is
constant c_build_date : std_logic_vector(31 downto 0) := x"13080f00"; constant c_build_date : std_logic_vector(31 downto 0) := x"06041400";
constant c_switch_hdl_ver : std_logic_vector(31 downto 0) := x"0c49e847"; constant c_switch_hdl_ver : std_logic_vector(31 downto 0) := x"04e89257";
constant c_gencores_ver : std_logic_vector(31 downto 0) := x"012c045e"; constant c_gencores_ver : std_logic_vector(31 downto 0) := x"0dcc7cc3";
constant c_wrcores_ver : std_logic_vector(31 downto 0) := x"004583a9"; constant c_wrcores_ver : std_logic_vector(31 downto 0) := x"08299d65";
end package; end package;
...@@ -1215,7 +1215,7 @@ begin ...@@ -1215,7 +1215,7 @@ begin
g_interface_mode => PIPELINED, g_interface_mode => PIPELINED,
g_address_granularity => BYTE, g_address_granularity => BYTE,
g_ndbg_regs => c_DBG_N_REGS, g_ndbg_regs => c_DBG_N_REGS,
g_ver_major => 5, g_ver_major => 6,
g_ver_minor => 0, g_ver_minor => 0,
g_build => 1) g_build => 1)
port map( port map(
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment