Commit e728a83e authored by Tristan Gingold's avatar Tristan Gingold

wr2rf_vme: select noga/ga according to ga value.

parent d82f7502
......@@ -729,8 +729,10 @@ begin
vme_nogap <= not (vme_noga_n_i(0) xor vme_noga_n_i(1) xor vme_noga_n_i(2)
xor vme_noga_n_i(3) xor vme_noga_n_i(4));
vme_ga <= vme_gap_i & vme_ga_n_i when switch_n(2) = '0'
else vme_nogap & vme_noga_n_i; -- vme core wants low level sensitivity
-- Use vme_ga_n_i if not unconnected (there are pull-up).
-- Despite the name, the vme64x core want negated logic.
vme_ga <= vme_gap_i & vme_ga_n_i when vme_ga_n_i /= (4 downto 0 => '1')
else vme_nogap & vme_noga_n_i;
-- VME tri-state buffers
vme_data_b (15 downto 0) <= vme_data_b_out when vme_data_dir_int = '1'
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment