Commit f2edd0bc authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

doc: fix pagebreaks

parent 791d67d8
......@@ -56,6 +56,7 @@ tables short and to the point. Users interested in studying the board-common mod
their own BSP, can find the board-common module under:
\\\hrefwrpc{board/common/xwrc\_board\_common.vhd}
\newpage
\newparagraph{Generic parameters}
\label{sec:hdl_board_common_param}
......@@ -108,7 +109,7 @@ their own BSP, can find the board-common module under:
rst\_62m5\_n\_o & out & 1 & Active low reset output, synchronous to \tts{clk\_sys\_62m5\_o}\\
\hline
rst\_125m\_n\_o & out & 1 & Active low reset output, synchronous to \tts{clk\_ref\_125m\_o}\\
\hline
\hline\pagebreak
\hdltablesection{Interface with SFP}\\
\hline
sfp\_tx\_fault\_i & in & 1 & TX fault indicator\\
......@@ -185,7 +186,7 @@ their own BSP, can find the board-common module under:
in order to provide access to all WB peripherals over Etherbone}\\
\cline{1-3}
\linebreak wb\_eth\_master\_i\linebreak & in & rec & \\
\hline\pagebreak
\hline
\hdltablesection{Generic diagnostics interface}\\
\hline
\linebreak aux\_diag\_i\linebreak & in & var & \multirowpar{2}{Arrays of 32~bit vectors, to be
......@@ -435,7 +436,7 @@ Section~\ref{sec:hdl_board_common_param} for a the list of common BSP parameters
sfp\_scl\_o & out & 1 & \\
\hline
sfp\_rate\_select\_o & out & 1 & SFP rate select\\
\hline\pagebreak
\hline
\hdltablesection{Physical UART interface}\\
\hline
uart\_rxd\_i & in & 1 & UART RXD (serial data to WRPC)\\
......@@ -482,7 +483,6 @@ Parameters and ports common to all BSPs are described in Section~\ref{sec:hdl_bo
the 8bit PCS. Currently, 16bit PCS is not supported for Arria V.\\
\end{hdlparamtable}
\pagebreak
\newparagraph{Ports}
\begin{hdlporttable}
......
......@@ -14,7 +14,6 @@ supported FPGAs.
This section describes the generic parameters and ports which are common to all provided PSPs.
\newpage
\newparagraph{Generic parameters}
\begin{hdlparamtable}
......@@ -51,7 +50,7 @@ these parameters.
\hline
clk\_10m\_ext\_i & in & 1 & 10MHz external reference clock input
(used when \tts{g\_with\_external\_clock\_input = true})\\
\hline
\hline\pagebreak
\hdltablesection{Clock inputs for default PLLs (used when
\tts{g\_use\_default\_plls = true})}\\
\hline
......
......@@ -103,7 +103,7 @@
scl\_i & in & 1 & \\
\cline{1-3}
scl\_o & out & 1 & \\
\hline
\hline\pagebreak
sfp\_sda\_i & in & 1 & \multirowpar{4}{I2C interface for EEPROM inside SFP module}\\
\cline{1-3}
sfp\_sda\_o & out & 1 & \\
......@@ -113,7 +113,7 @@
sfp\_scl\_o & out & 1 & \\
\hline
sfp\_det\_i & in & 1 & SFP presence indicator\\
\hline\pagebreak
\hline
btn1\_i & in & 1 & \multirowpar{2}{two microswitch inputs, active low, currently not
used in official WRPC software}\\
\cline{1-3}
......@@ -173,7 +173,7 @@
for the WB slave interface (available in \tts{xwr\_core.vhd})}\\
\cline{1-3}
wb\_slave\_i & in & rec & \\
\hline
\hline\pagebreak
\hdltablesection{Auxiliary WB master}\\
\hline
aux\_adr\_i & in & 32 & \multirowpar{11}{Auxilirary Wishbone pipelined
......@@ -199,7 +199,7 @@
ports for the aux WB master interface (available in \tts{xwr\_core.vhd})}\\
\cline{1-3}
aux\_master\_i & in & rec & \\
\hline\pagebreak
\hline
\hdltablesection{External fabric interface}\\
\hline
ext\_snk\_adr\_i & in & 2 & \multirowpar{9}{External fabric Wishbone
......@@ -248,7 +248,7 @@
wrf\_snk\_o & out & rec & \\
\cline{1-3}
wrf\_snk\_i & in & rec & \\
\hline
\hline\pagebreak
\hdltablesection{External TX timestamp interface}\\
\hline
txtsu\_port\_id\_o & out & 5 & physical port ID from which the timestamp
......@@ -271,7 +271,7 @@
\hline
txtsu\_ack\_i & in & 1 & acknowledge, indicating that user-defined module
has received the timestamp\\
\hline\pagebreak
\hline
\hdltablesection{Pause frame control}\\
\hline
fc\_tx\_pause\_req\_i & in & 1 & Ethernet flow control, request sending
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment