Commit 5d422f50 authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] fix address mapping of FMC-DEL in SVEC_TDC_FD ref design

parent 7bc611e3
......@@ -322,7 +322,7 @@ architecture arch of wrtd_ref_svec_tdc_fd is
constant c_WB_LAYOUT_MASK :
t_wishbone_address_array(c_NUM_WB_SLAVES - 1 downto 0) := (
c_WB_SLAVE_METADATA => x"0003_ffc0", -- 0x40 bytes
c_WB_SLAVE_FDL => x"0003_fe00", -- 0x200 bytes
c_WB_SLAVE_FDL => x"0003_f800", -- 0x800 bytes
c_WB_SLAVE_TDC => x"0003_0000", -- 0x10000 bytes
c_WB_SLAVE_MT => x"0002_0000"); -- 0x20000 bytes
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment