Commit 913c1b3a authored by Tristan Gingold's avatar Tristan Gingold

Adjust svec/top.vhd

parent 76323b46
......@@ -45,7 +45,7 @@ use work.wr_board_pkg.all;
entity {name}_top is
generic (
g_WR_DPRAM_INITF : string := "{topdir}/wr-cores/bin/wrpc/wrc_phy8.bram";
g_WRPC_INITF : string := "{topdir}/wr-cores/bin/wrpc/wrc_phy8.bram";
g_MT_CPU0_INITF : string := "../../../../software/firmware/tdc/wrtd-rt-tdc.bram";
g_MT_CPU1_INITF : string := "../../../../software/firmware/fd/wrtd-rt-fd.bram";
-- Simulation-mode enable parameter. Set by default (synthesis) to 0, and
......@@ -587,7 +587,7 @@ begin -- architecture arch
generic map (
g_simulation => g_simulation,
g_WITH_EXTERNAL_CLOCK_INPUT => True,
g_dpram_initf => g_WR_DPRAM_INITF,
g_dpram_initf => g_WRPC_INITF,
g_AUX_PLL_CONFIG => c_WRPC_PLL_CONFIG,
g_fabric_iface => PLAIN,
g_aux_clks => 2)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment