Commit fc0b5603 authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] make SPEC testbench finish automatically when done

parent 86d87a5a
...@@ -54,6 +54,8 @@ module main; ...@@ -54,6 +54,8 @@ module main;
const uint64_t MT_BASE = 'h0002_0000; const uint64_t MT_BASE = 'h0002_0000;
int sim_end = 0;
initial begin initial begin
uint64_t val, expected; uint64_t val, expected;
...@@ -222,6 +224,7 @@ module main; ...@@ -222,6 +224,7 @@ module main;
join join
sim_end = 1;
end end
...@@ -241,7 +244,7 @@ module main; ...@@ -241,7 +244,7 @@ module main;
$display("-------------------"); $display("-------------------");
$display(); $display();
#5000us; wait (sim_end == 1);
$display(); $display();
$display("Simulation PASSED"); $display("Simulation PASSED");
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment