Skip to content

Add partial support for VHDL generic packages

Augusto Fraga Giachero requested to merge vhdl-generic-package into develop

This adds support for generic packages declaration dependency tracking. It is not complete though, it only works when instantiating a generic package for using withing the same file.

Ideally it should call graph.add_provide also for the new_pkg_name package, but it depends on which context the new package is declared, and it is hard to do it right without a proper VHDL parser (ref #95).

Merge request reports