Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
B
Beam Positoning Monitor - Gateware
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
Beam Positoning Monitor - Gateware
Commits
2fe9e3a8
Commit
2fe9e3a8
authored
Jun 27, 2017
by
Lucas Russo
Browse files
Options
Browse Files
Download
Plain Diff
Merge branch 'devel'
parents
3150cb55
bb94ff6e
Show whitespace changes
Inline
Side-by-side
Showing
22 changed files
with
174 additions
and
6 deletions
+174
-6
dsp-cores
hdl/ip_cores/dsp-cores
+1
-1
Manifest.py
hdl/syn/afc_v3/vivado/dbe_bpm2_bo_sirius/Manifest.py
+38
-0
build_bitstream_local.sh
...afc_v3/vivado/dbe_bpm2_bo_sirius/build_bitstream_local.sh
+0
-0
build_bitstream_remote.sh
...fc_v3/vivado/dbe_bpm2_bo_sirius/build_bitstream_remote.sh
+0
-0
build_synthesis_sdb.sh
...n/afc_v3/vivado/dbe_bpm2_bo_sirius/build_synthesis_sdb.sh
+17
-0
synthesis_descriptor_pkg.vhd
...v3/vivado/dbe_bpm2_bo_sirius/synthesis_descriptor_pkg.vhd
+0
-0
Manifest.py
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_sirius/Manifest.py
+38
-0
build_bitstream_local.sh
...afc_v3/vivado/dbe_bpm2_sr_sirius/build_bitstream_local.sh
+0
-0
build_bitstream_remote.sh
...fc_v3/vivado/dbe_bpm2_sr_sirius/build_bitstream_remote.sh
+0
-0
build_synthesis_sdb.sh
...n/afc_v3/vivado/dbe_bpm2_sr_sirius/build_synthesis_sdb.sh
+17
-0
synthesis_descriptor_pkg.vhd
...v3/vivado/dbe_bpm2_sr_sirius/synthesis_descriptor_pkg.vhd
+0
-0
Manifest.py
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/Manifest.py
+1
-1
build_bitstream_local.sh
...yn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_local.sh
+11
-0
build_bitstream_remote.sh
...n/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_remote.sh
+11
-0
build_synthesis_sdb.sh
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_synthesis_sdb.sh
+2
-1
synthesis_descriptor_pkg.vhd
...fc_v3/vivado/dbe_bpm2_sr_uvx/synthesis_descriptor_pkg.vhd
+6
-0
Manifest.py
hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/Manifest.py
+1
-1
build_bitstream_local.sh
...syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_local.sh
+11
-0
build_bitstream_remote.sh
...yn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_remote.sh
+11
-0
build_synthesis_sdb.sh
hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_synthesis_sdb.sh
+2
-1
synthesis_descriptor_pkg.vhd
...afc_v3/vivado/dbe_bpm_sr_uvx/synthesis_descriptor_pkg.vhd
+6
-0
build_synthesis_sdb.sh
hdl/syn/afc_v3/vivado/dbe_pbpm/build_synthesis_sdb.sh
+1
-1
No files found.
dsp-cores
@
d8ddce38
Subproject commit
96dc9714b14e015853f35c504b927b0e3e322db8
Subproject commit
d8ddce38be9fa14fe486f8273985782ecd9b7427
hdl/syn/afc_v3/vivado/dbe_bpm2_bo_sirius/Manifest.py
0 → 100755
View file @
2fe9e3a8
target
=
"xilinx"
action
=
"synthesis"
syn_device
=
"xc7a200t"
syn_grade
=
"-2"
syn_package
=
"ffg1156"
syn_top
=
"dbe_bpm2"
syn_project
=
"dbe_bpm2"
syn_tool
=
"vivado"
syn_properties
=
[
[
"steps.synth_design.args.more options"
,
"-verbose"
],
[
"steps.synth_design.args.retiming"
,
"1"
],
[
"steps.synth_design.args.assert"
,
"1"
],
[
"steps.opt_design.args.verbose"
,
"1"
],
[
"steps.opt_design.args.directive"
,
"Explore"
],
[
"steps.opt_design.is_enabled"
,
"1"
],
[
"steps.place_design.args.directive"
,
"Explore"
],
[
"steps.place_design.args.more options"
,
"-verbose"
],
[
"steps.phys_opt_design.args.directive"
,
"AlternateFlowWithRetiming"
],
[
"steps.phys_opt_design.args.more options"
,
"-verbose"
],
[
"steps.phys_opt_design.is_enabled"
,
"1"
],
[
"steps.route_design.args.directive"
,
"Explore"
],
[
"steps.route_design.args.more options"
,
"-verbose"
],
[
"steps.post_route_phys_opt_design.args.directive"
,
"AddRetime"
],
[
"steps.post_route_phys_opt_design.args.more options"
,
"-verbose"
],
[
"steps.post_route_phys_opt_design.is_enabled"
,
"1"
],
[
"steps.write_bitstream.args.verbose"
,
"1"
]]
import
os
import
sys
if
os
.
path
.
isfile
(
"synthesis_descriptor_pkg.vhd"
):
files
=
[
"synthesis_descriptor_pkg.vhd"
];
else
:
sys
.
exit
(
"Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)"
)
machine_pkg
=
"sirius_bo_250M"
;
modules
=
{
"local"
:
[
"../../../../top/afc_v3/vivado/dbe_bpm2"
]
};
hdl/syn/afc_v3/vivado/dbe_bpm/build_bitstream_local.sh
→
hdl/syn/afc_v3/vivado/dbe_bpm
2_bo_sirius
/build_bitstream_local.sh
View file @
2fe9e3a8
File moved
hdl/syn/afc_v3/vivado/dbe_bpm/build_bitstream_remote.sh
→
hdl/syn/afc_v3/vivado/dbe_bpm
2_bo_sirius
/build_bitstream_remote.sh
View file @
2fe9e3a8
File moved
hdl/syn/afc_v3/vivado/dbe_bpm2_bo_sirius/build_synthesis_sdb.sh
0 → 100755
View file @
2fe9e3a8
#!/bin/bash
# Exit on error
set
-e
# Check for uninitialized variables
set
-u
# Maximum of 16 chars
SYNTH_INFO_PROJECT
=
"bpm-gw-bo-sirius"
SYNTH_INFO_TOOL
=
"VIVADO"
SYNTH_INFO_VER
=
$(
vivado
-version
|
head
-n
1 |
cut
-d
' '
-f2
|
cut
-d
'v'
-f2
)
SYNTH_INFO_COMMAND
=
"../../../gen_sdbsyn.py --project
${
SYNTH_INFO_PROJECT
}
--tool
${
SYNTH_INFO_TOOL
}
--ver
${
SYNTH_INFO_VER
}
"
# Generate synthesis file
echo
$SYNTH_INFO_COMMAND
eval
$SYNTH_INFO_COMMAND
hdl/syn/afc_v3/vivado/dbe_bpm/synthesis_descriptor_pkg.vhd
→
hdl/syn/afc_v3/vivado/dbe_bpm
2_bo_sirius
/synthesis_descriptor_pkg.vhd
View file @
2fe9e3a8
File moved
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_sirius/Manifest.py
0 → 100755
View file @
2fe9e3a8
target
=
"xilinx"
action
=
"synthesis"
syn_device
=
"xc7a200t"
syn_grade
=
"-2"
syn_package
=
"ffg1156"
syn_top
=
"dbe_bpm2"
syn_project
=
"dbe_bpm2"
syn_tool
=
"vivado"
syn_properties
=
[
[
"steps.synth_design.args.more options"
,
"-verbose"
],
[
"steps.synth_design.args.retiming"
,
"1"
],
[
"steps.synth_design.args.assert"
,
"1"
],
[
"steps.opt_design.args.verbose"
,
"1"
],
[
"steps.opt_design.args.directive"
,
"Explore"
],
[
"steps.opt_design.is_enabled"
,
"1"
],
[
"steps.place_design.args.directive"
,
"Explore"
],
[
"steps.place_design.args.more options"
,
"-verbose"
],
[
"steps.phys_opt_design.args.directive"
,
"AlternateFlowWithRetiming"
],
[
"steps.phys_opt_design.args.more options"
,
"-verbose"
],
[
"steps.phys_opt_design.is_enabled"
,
"1"
],
[
"steps.route_design.args.directive"
,
"Explore"
],
[
"steps.route_design.args.more options"
,
"-verbose"
],
[
"steps.post_route_phys_opt_design.args.directive"
,
"AddRetime"
],
[
"steps.post_route_phys_opt_design.args.more options"
,
"-verbose"
],
[
"steps.post_route_phys_opt_design.is_enabled"
,
"1"
],
[
"steps.write_bitstream.args.verbose"
,
"1"
]]
import
os
import
sys
if
os
.
path
.
isfile
(
"synthesis_descriptor_pkg.vhd"
):
files
=
[
"synthesis_descriptor_pkg.vhd"
];
else
:
sys
.
exit
(
"Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)"
)
machine_pkg
=
"sirius_sr_250M"
;
modules
=
{
"local"
:
[
"../../../../top/afc_v3/vivado/dbe_bpm2"
]
};
hdl/syn/afc_v3/vivado/dbe_bpm2/build_bitstream_local.sh
→
hdl/syn/afc_v3/vivado/dbe_bpm2
_sr_sirius
/build_bitstream_local.sh
View file @
2fe9e3a8
File moved
hdl/syn/afc_v3/vivado/dbe_bpm2/build_bitstream_remote.sh
→
hdl/syn/afc_v3/vivado/dbe_bpm2
_sr_sirius
/build_bitstream_remote.sh
View file @
2fe9e3a8
File moved
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_sirius/build_synthesis_sdb.sh
0 → 100755
View file @
2fe9e3a8
#!/bin/bash
# Exit on error
set
-e
# Check for uninitialized variables
set
-u
# Maximum of 16 chars
SYNTH_INFO_PROJECT
=
"bpm-gw-sr-sirius"
SYNTH_INFO_TOOL
=
"VIVADO"
SYNTH_INFO_VER
=
$(
vivado
-version
|
head
-n
1 |
cut
-d
' '
-f2
|
cut
-d
'v'
-f2
)
SYNTH_INFO_COMMAND
=
"../../../gen_sdbsyn.py --project
${
SYNTH_INFO_PROJECT
}
--tool
${
SYNTH_INFO_TOOL
}
--ver
${
SYNTH_INFO_VER
}
"
# Generate synthesis file
echo
$SYNTH_INFO_COMMAND
eval
$SYNTH_INFO_COMMAND
hdl/syn/afc_v3/vivado/dbe_bpm2/synthesis_descriptor_pkg.vhd
→
hdl/syn/afc_v3/vivado/dbe_bpm2
_sr_sirius
/synthesis_descriptor_pkg.vhd
View file @
2fe9e3a8
File moved
hdl/syn/afc_v3/vivado/dbe_bpm2/Manifest.py
→
hdl/syn/afc_v3/vivado/dbe_bpm2
_sr_uvx
/Manifest.py
View file @
2fe9e3a8
...
...
@@ -33,6 +33,6 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"):
else
:
sys
.
exit
(
"Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)"
)
machine_pkg
=
"uvx_250M"
;
machine_pkg
=
"uvx_
sr_
250M"
;
modules
=
{
"local"
:
[
"../../../../top/afc_v3/vivado/dbe_bpm2"
]
};
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_local.sh
0 → 100755
View file @
2fe9e3a8
#!/bin/bash
# Exit on error
set
-e
# Check for uninitialized variables
set
-u
COMMAND
=
"(./build_synthesis_sdb.sh; hdlmake; time make; date) 2>&1 | tee make_output &"
echo
$COMMAND
eval
$COMMAND
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/build_bitstream_remote.sh
0 → 100755
View file @
2fe9e3a8
#!/bin/bash
# Exit on error
set
-e
# Check for uninitialized variables
set
-u
COMMAND
=
"(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &"
echo
$COMMAND
eval
$COMMAND
hdl/syn/afc_v3/vivado/dbe_bpm2/build_synthesis_sdb.sh
→
hdl/syn/afc_v3/vivado/dbe_bpm2
_sr_uvx
/build_synthesis_sdb.sh
View file @
2fe9e3a8
...
...
@@ -5,7 +5,8 @@ set -e
# Check for uninitialized variables
set
-u
SYNTH_INFO_PROJECT
=
"bpm-gw"
# Maximum of 16 chars
SYNTH_INFO_PROJECT
=
"bpm-gw-sr-uvx"
SYNTH_INFO_TOOL
=
"VIVADO"
SYNTH_INFO_VER
=
$(
vivado
-version
|
head
-n
1 |
cut
-d
' '
-f2
|
cut
-d
'v'
-f2
)
...
...
hdl/syn/afc_v3/vivado/dbe_bpm2_sr_uvx/synthesis_descriptor_pkg.vhd
0 → 100644
View file @
2fe9e3a8
-- This file will be overwritten prior to synthesis,
-- by hdlmake "syn_pre_cmd" specified on top Manifest.py.
--
-- However, hdlmake requires all files to be present
-- on parsing-time. So, fool the tool with this dummy
-- file so we can bypass this requirement.
hdl/syn/afc_v3/vivado/dbe_bpm/Manifest.py
→
hdl/syn/afc_v3/vivado/dbe_bpm
_sr_uvx
/Manifest.py
View file @
2fe9e3a8
...
...
@@ -33,6 +33,6 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"):
else
:
sys
.
exit
(
"Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)"
)
machine_pkg
=
"uvx_130M"
machine_pkg
=
"uvx_
sr_
130M"
modules
=
{
"local"
:
[
"../../../../top/afc_v3/vivado/dbe_bpm"
]
};
hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_local.sh
0 → 100755
View file @
2fe9e3a8
#!/bin/bash
# Exit on error
set
-e
# Check for uninitialized variables
set
-u
COMMAND
=
"(./build_synthesis_sdb.sh; hdlmake; time make; date) 2>&1 | tee make_output &"
echo
$COMMAND
eval
$COMMAND
hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/build_bitstream_remote.sh
0 → 100755
View file @
2fe9e3a8
#!/bin/bash
# Exit on error
set
-e
# Check for uninitialized variables
set
-u
COMMAND
=
"(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &"
echo
$COMMAND
eval
$COMMAND
hdl/syn/afc_v3/vivado/dbe_bpm/build_synthesis_sdb.sh
→
hdl/syn/afc_v3/vivado/dbe_bpm
_sr_uvx
/build_synthesis_sdb.sh
View file @
2fe9e3a8
...
...
@@ -5,7 +5,8 @@ set -e
# Check for uninitialized variables
set
-u
SYNTH_INFO_PROJECT
=
"bpm-gw"
# Maximum of 16 chars
SYNTH_INFO_PROJECT
=
"bpm-gw-sr-uvx"
SYNTH_INFO_TOOL
=
"VIVADO"
SYNTH_INFO_VER
=
$(
vivado
-version
|
head
-n
1 |
cut
-d
' '
-f2
|
cut
-d
'v'
-f2
)
...
...
hdl/syn/afc_v3/vivado/dbe_bpm_sr_uvx/synthesis_descriptor_pkg.vhd
0 → 100644
View file @
2fe9e3a8
-- This file will be overwritten prior to synthesis,
-- by hdlmake "syn_pre_cmd" specified on top Manifest.py.
--
-- However, hdlmake requires all files to be present
-- on parsing-time. So, fool the tool with this dummy
-- file so we can bypass this requirement.
hdl/syn/afc_v3/vivado/dbe_pbpm/build_synthesis_sdb.sh
View file @
2fe9e3a8
...
...
@@ -5,7 +5,7 @@ set -e
# Check for uninitialized variables
set
-u
SYNTH_INFO_PROJECT
=
"
bpm-pbpm
"
SYNTH_INFO_PROJECT
=
"
pbpm-gw
"
SYNTH_INFO_TOOL
=
"VIVADO"
SYNTH_INFO_VER
=
$(
vivado
-version
|
head
-n
1 |
cut
-d
' '
-f2
|
cut
-d
'v'
-f2
)
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment