Skip to content
  • Theodor-Adrian Stana's avatar
    Changed LTSR load policy · 885b948c
    Theodor-Adrian Stana authored
    The 125 MHz domain registers are loaded only once the 20 MHz clock domain
    registers are loaded. Like this, we avoid having different values in the
    registers in the two clock domains.
    885b948c