Commit 105019a2 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Added WRPC instantiation

parent fa8cdd5d
This diff is collapsed.
......@@ -94,6 +94,9 @@ package conv_common_gw_pkg is
-- Generate one-wire master for thermometer
g_with_thermometer : boolean := false;
-- Generate logic for White Rabbit implementation
g_with_wr : boolean := false;
-- Bicolor LED controller signals
g_bicolor_led_columns : integer := 6;
g_bicolor_led_lines : integer := 2
......@@ -161,13 +164,25 @@ package conv_common_gw_pkg is
-- SFP lines
sfp_los_i : in std_logic;
sfp_mod_def0_i : in std_logic;
sfp_present_i : in std_logic;
sfp_rate_select_o : out std_logic;
sfp_mod_def1_b : inout std_logic;
sfp_mod_def2_b : inout std_logic;
sfp_scl_b : inout std_logic;
sfp_sda_b : inout std_logic;
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
-- White rabbit LED lines
wr_led_link_o : out std_logic;
wr_led_act_o : out std_logic;
-- FPGA Multi-Gigabit Transceiver connections
mgt_refclk0_p_i : in std_logic;
mgt_refclk0_n_i : in std_logic;
mgt_tx0_p_o : out std_logic;
mgt_tx0_n_o : out std_logic;
mgt_rx0_p_i : in std_logic;
mgt_rx0_n_i : in std_logic;
-- Switch inputs (for readout from converter status register)
sw_gp_i : in std_logic_vector(7 downto 0);
sw_other_i : in std_logic_vector(31 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment