Commit a7c08dc8 authored by gilsoriano's avatar gilsoriano

Updating i2c slave

parent af943bac
This diff is collapsed.
This diff is collapsed.
......@@ -54,7 +54,7 @@ library IEEE;
library work;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.ctdha_pkg.ALL;
use work.ctdah_pkg.ALL;
entity i2c_slave_core is
port (
......@@ -237,6 +237,7 @@ s_rx_fifo_din_rst_i <= wb_rst_i or s_rx_fifo_din_rst;
sda_o <= s_sda_o;
bit_counter_8: gc_counter
generic map (g_data_width => c_DATA_WIDTH)
port map (
clk_i => s_done_d1,
rst_i => s_bit_cnt_rst_i,
......@@ -245,6 +246,7 @@ sda_o <= s_sda_o;
);
byte_counter_8: gc_counter
generic map (g_data_width => c_DATA_WIDTH)
port map (
clk_i => s_byte_tick,
rst_i => s_byte_cnt_rst,
......@@ -300,8 +302,10 @@ sda_o <= s_sda_o;
D => s_done_d1
);
rx_fifo_i2c: FIFO_stack
port map(
rx_fifo_i2c: FIFO_stack
generic map( g_data_width => c_DATA_WIDTH,
g_stack_depth => c_RX_STACK_DEPTH)
port map(
reg_i => s_rx_fifo_din,
clk => wb_clk,
push => s_rx_fifo_push,
......@@ -317,6 +321,8 @@ sda_o <= s_sda_o;
DRX0_o <= s_DRX0_o;
tx_fifo_i2c: FIFO_dispatcher
generic map( g_data_width => c_DATA_WIDTH,
g_dispatcher_depth => c_TX_STACK_DEPTH)
port map(
reg_i(7 downto 0) => DTX3_i,
reg_i(15 downto 8) => DTX2_i,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment