Commit 53b56d05 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

doc: Small additions to PTS register descriptions

parent 8c198c17
\subsection{PTS control and status registers} \subsection{PTS control and status registers}
\label{subsec:wbgen:pts} \label{subsec:wbgen:pts}
Registers of the PTS firmware
Base address: 0xf{}f{}f{}f{}f{}f{}f{}f Base address: 0xf{}f{}f{}f{}f{}f{}f{}f
{ {
\rowcolors{2}{white}{gray!25} \rowcolors{2}{white}{gray!25}
...@@ -92,49 +92,49 @@ Reset value: g\_board\_id ...@@ -92,49 +92,49 @@ Reset value: g\_board\_id
CHLEDT CHLEDT
} [\emph{read/write}]: Channel pulse LED enable } [\emph{read/write}]: Channel pulse LED enable
\\ \\
1 -- Enable channel LED sequencing 0 -- No effect 1 -- Enable channel LED sequencing \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
STLEDT STLEDT
} [\emph{read/write}]: Status LED enable } [\emph{read/write}]: Status LED enable
\\ \\
1 -- Enable front panel bicolor LED sequencing 0 -- No effect 1 -- Enable front panel bicolor LED sequencing \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
RLEDT RLEDT
} [\emph{read/write}]: Rear pulse LED line } [\emph{read/write}]: Rear pulse LED line
\\ \\
1 -- Set LED lines high 0 -- No effect 1 -- Set LED lines high \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
TTLPT TTLPT
} [\emph{read/write}]: TTL test enable } [\emph{read/write}]: TTL test enable
\\ \\
1 -- Enable pulse generation from CH1 0 -- No effect 1 -- Enable pulse generation from CH1 \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
REARPT REARPT
} [\emph{read/write}]: Rear pulse enable } [\emph{read/write}]: Rear pulse enable
\\ \\
1 -- Enable rear panel pulse generation 0 -- No effect 1 -- Enable rear panel pulse generation \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
TSTCVCC TSTCVCC
} [\emph{read/write}]: RS485 tester card VCC } [\emph{read/write}]: RS485 tester card VCC
\\ \\
1 -- Power on the RS485 tester 0 -- No effect 1 -- Power on the RS485 tester \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
TSTCMUXEN TSTCMUXEN
} [\emph{read/write}]: RS485 tester card MUX enable } [\emph{read/write}]: RS485 tester card MUX enable
\\ \\
1 -- Enable multiplexers on RS485 tester 0 -- No effect 1 -- Enable multiplexers on RS485 tester \\ 0 -- No effect
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
...@@ -155,24 +155,28 @@ RS485 tester S1 line on multiplexers ...@@ -155,24 +155,28 @@ RS485 tester S1 line on multiplexers
RST\_UNLOCK RST\_UNLOCK
} [\emph{read/write}]: Reset unlock bit } [\emph{read/write}]: Reset unlock bit
\\ \\
1 -- Reset bit unlocked 0 -- Reset bit locked 1 -- Reset bit unlocked \\ 0 -- Reset bit locked
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
RST RST
} [\emph{read/write}]: Reset bit } [\emph{read/write}]: Reset bit -- active only if RST\_UNLOCK is 1
\\ \\
1 -- initiate logic reset 0 -- no reset 1 -- initiate logic reset \\ 0 -- no reset
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
SWITCH SWITCH
} [\emph{read-only}]: switches } [\emph{read-only}]: switches
\\
1 - switch is ON \\ 0 - switch is OFF
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
RTM RTM
} [\emph{read-only}]: RTM } [\emph{read-only}]: RTM detection lines
\\
1 - line active \\ 0 - line inactive
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
......
...@@ -33,7 +33,6 @@ ...@@ -33,7 +33,6 @@
peripheral { peripheral {
name = "PTS control and status registers"; name = "PTS control and status registers";
description = "Registers of the PTS firmware";
hdl_entity = "pts_regs"; hdl_entity = "pts_regs";
prefix = "pts"; prefix = "pts";
...@@ -62,7 +61,8 @@ peripheral { ...@@ -62,7 +61,8 @@ peripheral {
field { field {
name = "Channel pulse LED enable"; name = "Channel pulse LED enable";
prefix = "chledt"; prefix = "chledt";
description = "1 -- Enable channel LED sequencing \ 0 -- No effect"; description = "1 -- Enable channel LED sequencing \
0 -- No effect";
type = BIT; type = BIT;
access_bus = READ_WRITE; access_bus = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -71,7 +71,8 @@ peripheral { ...@@ -71,7 +71,8 @@ peripheral {
field { field {
name = "Status LED enable"; name = "Status LED enable";
prefix = "stledt"; prefix = "stledt";
description = "1 -- Enable front panel bicolor LED sequencing \ 0 -- No effect"; description = "1 -- Enable front panel bicolor LED sequencing \
0 -- No effect";
type = BIT; type = BIT;
access_bus = READ_WRITE; access_bus = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -80,7 +81,8 @@ peripheral { ...@@ -80,7 +81,8 @@ peripheral {
field { field {
name = "Rear pulse LED line"; name = "Rear pulse LED line";
prefix = "rledt"; prefix = "rledt";
description = "1 -- Set LED lines high \ 0 -- No effect"; description = "1 -- Set LED lines high \
0 -- No effect";
type = BIT; type = BIT;
access_bus = READ_WRITE; access_bus = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -89,7 +91,8 @@ peripheral { ...@@ -89,7 +91,8 @@ peripheral {
field { field {
name = "TTL test enable"; name = "TTL test enable";
prefix = "ttlpt"; prefix = "ttlpt";
description = "1 -- Enable pulse generation from CH1 \ 0 -- No effect"; description = "1 -- Enable pulse generation from CH1 \
0 -- No effect";
type = BIT; type = BIT;
access_bus = READ_WRITE; access_bus = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -98,7 +101,8 @@ peripheral { ...@@ -98,7 +101,8 @@ peripheral {
field { field {
name = "Rear pulse enable"; name = "Rear pulse enable";
prefix = "rearpt"; prefix = "rearpt";
description = "1 -- Enable rear panel pulse generation \ 0 -- No effect"; description = "1 -- Enable rear panel pulse generation \
0 -- No effect";
type = BIT; type = BIT;
access_bus = READ_WRITE; access_bus = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -107,7 +111,8 @@ peripheral { ...@@ -107,7 +111,8 @@ peripheral {
field { field {
name = "RS485 tester card VCC"; name = "RS485 tester card VCC";
prefix = "tstcvcc"; prefix = "tstcvcc";
description = "1 -- Power on the RS485 tester \ 0 -- No effect"; description = "1 -- Power on the RS485 tester \
0 -- No effect";
type = BIT; type = BIT;
access_bud = READ_WRITE; access_bud = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -116,7 +121,8 @@ peripheral { ...@@ -116,7 +121,8 @@ peripheral {
field { field {
name = "RS485 tester card MUX enable"; name = "RS485 tester card MUX enable";
prefix = "tstcmuxen"; prefix = "tstcmuxen";
description = "1 -- Enable multiplexers on RS485 tester \ 0 -- No effect"; description = "1 -- Enable multiplexers on RS485 tester \
0 -- No effect";
type = BIT; type = BIT;
access_bud = READ_WRITE; access_bud = READ_WRITE;
access_dev = READ_ONLY; access_dev = READ_ONLY;
...@@ -142,7 +148,8 @@ peripheral { ...@@ -142,7 +148,8 @@ peripheral {
field { field {
name = "Reset unlock bit"; name = "Reset unlock bit";
description = "1 -- Reset bit unlocked \ 0 -- Reset bit locked"; description = "1 -- Reset bit unlocked \
0 -- Reset bit locked";
prefix = "rst_unlock"; prefix = "rst_unlock";
type = BIT; type = BIT;
align = 14; align = 14;
...@@ -151,8 +158,9 @@ peripheral { ...@@ -151,8 +158,9 @@ peripheral {
load = LOAD_EXT; load = LOAD_EXT;
}; };
field { field {
name = "Reset bit"; name = "Reset bit -- active only if RST\_UNLOCK is 1";
description = "1 -- initiate logic reset \ 0 -- no reset"; description = "1 -- initiate logic reset \
0 -- no reset";
prefix = "rst"; prefix = "rst";
type = BIT; type = BIT;
access_bus = READ_WRITE; access_bus = READ_WRITE;
...@@ -163,6 +171,8 @@ peripheral { ...@@ -163,6 +171,8 @@ peripheral {
field { field {
name = "switches"; name = "switches";
prefix = "switch"; prefix = "switch";
description = "1 - switch is ON \
0 - switch is OFF";
type = SLV; type = SLV;
align = 16; align = 16;
size = 8; size = 8;
...@@ -171,8 +181,10 @@ peripheral { ...@@ -171,8 +181,10 @@ peripheral {
}; };
field { field {
name = "RTM"; name = "RTM detection lines";
prefix = "rtm"; prefix = "rtm";
description = "1 - line active \
0 - line inactive";
type = SLV; type = SLV;
align = 24; align = 24;
size = 6; size = 6;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment