Commit 9580bbdd authored by Milosz Malczak's avatar Milosz Malczak

Updated envirnment variables

parent a4209612
export WRTD_DEP_TRTL=/home/Projects/distributed_oscilloscope/dependencies/mock-turtle
export WRTD_DEP_FMC_ADC=/home/Projects/distributed_oscilloscope/dependencies/fmc-adc-100m14b4cha-gw
export WRTD_DEP_TRTL_FW=/home/Projects/distributed_oscilloscope/dependencies/mock-turtle/software/firmware
export WRTD_DEP_TRTL=$(pwd)/dependencies/mock-turtle
export WRTD_DEP_FMC_ADC=$(pwd)/dependencies/fmc-adc-100m14b4cha-gw
export WRTD_DEP_TRTL_FW=$(pwd)/dependencies/mock-turtle/software/firmware
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment