Commit 79a60811 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: Eradicate INT from wishbone records and peripheral ports.

parent 84894459
......@@ -6,7 +6,7 @@
-- Author : Wesley W. Terpstra
-- Company : GSI
-- Created : 2013-04-08
-- Last update: 2013-04-08
-- Last update: 2018-03-08
-- Platform : FPGA-generic
-- Standard : VHDL'93
-------------------------------------------------------------------------------
......@@ -84,7 +84,6 @@ architecture rtl of eb_cfg_fifo is
begin
cfg_o.int <= '0';
cfg_o.err <= '0';
cfg_o.rty <= '0';
cfg_o.stall <= '0';
......
......@@ -135,7 +135,6 @@ begin
r_dat_o(15 downto 0) => s_tx_dat);
slave_o.ack <= r_ack;
slave_o.int <= '0';
slave_o.rty <= '0';
slave_o.err <= '0';
slave_o.stall <= s_stall;
......
......@@ -6,7 +6,7 @@
-- Author : Wesley W. Terpstra
-- Company : GSI
-- Created : 2013-04-08
-- Last update: 2013-04-08
-- Last update: 2018-03-08
-- Platform : FPGA-generic
-- Standard : VHDL'93
-------------------------------------------------------------------------------
......@@ -92,7 +92,6 @@ begin
EB_RX_o.ack <= EB_RX_i.cyc and EB_RX_i.stb and not rx_stall;
EB_RX_o.err <= '0';
EB_RX_o.rty <= '0';
EB_RX_o.int <= '0';
EB_RX_o.stall <= rx_stall;
EB_RX_o.dat <= (others => '0');
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment