Commit 0ca74d29 authored by Tom Levens's avatar Tom Levens

V1.4.2

parent f33b2d1c
......@@ -42,7 +42,7 @@ package FfpgPkg is
constant VersionMajor: natural := 1;
constant VersionMinor: natural := 4;
constant VersionRevision: natural := 1;
constant VersionRevision: natural := 2;
type t_Ad5600Interface is record
FrameSynchronization_n: std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment