Commit 6b580f28 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

HDL: fd_rearm_generator.vhd: rearm unit should ignore disabled outputs

parent a176765a
......@@ -41,7 +41,7 @@ begin -- behavioral
else
rearm_p1_o <= '0';
for i in 0 to 3 loop
if(dcr_enable_i(i) = '1' and rearm_i(i) = '1') then
if((dcr_enable_i(i) = '1' and rearm_i(i) = '1') or dcr_enable_i(i) = '0') then
rearm_ch(i) <= '1';
end if;
end loop; -- i
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment