Commit 06dd58bc authored by egousiou's avatar egousiou

commenting

git-svn-id: http://svn.ohwr.org/fmc-tdc@177 85dfdc96-de2c-444c-878d-45b388be74a9
parent 7f103f41
...@@ -97,13 +97,13 @@ ...@@ -97,13 +97,13 @@
-- Authors Gonzalo Penacoba (Gonzalo.Penacoba@cern.ch) | -- Authors Gonzalo Penacoba (Gonzalo.Penacoba@cern.ch) |
-- Evangelia Gousiou (Evangelia.Gousiou@cern.ch) | -- Evangelia Gousiou (Evangelia.Gousiou@cern.ch) |
-- Date 05/2014 | -- Date 05/2014 |
-- Version v5 | -- Version v2 |
-- Depends on | -- Depends on |
-- | -- |
---------------- | ---------------- |
-- Last changes | -- Last changes |
-- 08/2013 v4 EG design for SVEC; two cores; synchronizer between vme and the cores | -- 08/2013 v1 EG design for SVEC; two cores; synchronizer between vme and the cores |
-- 05/2014 v5 EG added White Rabbit | -- 05/2014 v2 EG added White Rabbit |
--------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------
......
...@@ -98,13 +98,13 @@ ...@@ -98,13 +98,13 @@
-- Authors Gonzalo Penacoba (Gonzalo.Penacoba@cern.ch) | -- Authors Gonzalo Penacoba (Gonzalo.Penacoba@cern.ch) |
-- Evangelia Gousiou (Evangelia.Gousiou@cern.ch) | -- Evangelia Gousiou (Evangelia.Gousiou@cern.ch) |
-- Date 05/2014 | -- Date 05/2014 |
-- Version v5 | -- Version v2 |
-- Depends on | -- Depends on |
-- | -- |
---------------- | ---------------- |
-- Last changes | -- Last changes |
-- 08/2013 v4 EG design for SVEC; two cores; synchronizer between vme and the cores | -- 08/2013 v1 EG design for SVEC; two cores; synchronizer between vme and the cores |
-- 05/2014 v5 EG added White Rabbit | -- 05/2014 v2 EG added White Rabbit |
--------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment