Commit 3a5f2b72 authored by egousiou's avatar egousiou

FMC TDC manual doc corrections

git-svn-id: http://svn.ohwr.org/fmc-tdc@103 85dfdc96-de2c-444c-878d-45b388be74a9
parent f3b71b04
...@@ -159,7 +159,6 @@ class CFMCTDC: ...@@ -159,7 +159,6 @@ class CFMCTDC:
self.tdc_regs.wr_reg(0x00, 0x1F0FC81) self.tdc_regs.wr_reg(0x00, 0x1F0FC81)
self.tdc_regs.wr_reg(0x04, 0x0) self.tdc_regs.wr_reg(0x04, 0x0)
self.tdc_regs.wr_reg(0x08, 0xE02) self.tdc_regs.wr_reg(0x08, 0xE02)
time.sleep(1)
self.tdc_regs.wr_reg(0x0C, 0x0) self.tdc_regs.wr_reg(0x0C, 0x0)
self.tdc_regs.wr_reg(0x10, 0x200000F) self.tdc_regs.wr_reg(0x10, 0x200000F)
self.tdc_regs.wr_reg(0x14, 0x7D0) self.tdc_regs.wr_reg(0x14, 0x7D0)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment