Commit 5e2f8235 authored by egousiou's avatar egousiou

minor change on svec tdc_core_pkg.vhd

git-svn-id: http://svn.ohwr.org/fmc-tdc@134 85dfdc96-de2c-444c-878d-45b388be74a9
parent 608b336b
......@@ -681,7 +681,6 @@ package tdc_core_pkg is
retrig_nb_offset_i : in std_logic_vector(31 downto 0);
one_hz_p_i : in std_logic;
----------------------------------------------------------------------
tdc_led_5_o : out std_logic;
tstamp_wr_wb_adr_o : out std_logic_vector(7 downto 0);
tstamp_wr_wb_cyc_o : out std_logic;
tstamp_wr_dat_o : out std_logic_vector(127 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment