Commit 5e8cf6b6 authored by egousiou's avatar egousiou

added .ngc in spec synthesis

git-svn-id: http://svn.ohwr.org/fmc-tdc@130 85dfdc96-de2c-444c-878d-45b388be74a9
parent c970b77d
This diff is collapsed.
This diff is collapsed.
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment