Commit 19e2882a authored by André Pinho's avatar André Pinho

Improved consistency on matching filter of the generate_gc_reset_multi_aasd_constraints procedure

parent 91a39302
......@@ -270,7 +270,7 @@ proc generate_gc_reset_multi_aasd_constraints { f_out } {
}
proc generate_gc_falsepath_waiver_constraints { f_out } {
set the_cells [ get_cells -hier -filter { REF_NAME=~*gc_falsepath_waiver* } ]
set the_cells [ get_cells -hier -filter { REF_NAME==gc_falsepath_waiver || ORIG_REF_NAME==gc_falsepath_waiver} ]
set count 0
foreach cell $the_cells {
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment