Commit 40ea4c07 authored by Dimitris Lampridis's avatar Dimitris Lampridis

genrams: fix problem with missing inferred fifos when target is xilinx virtex6. Solves #1396.

parent bd7bca1c
modules = {
"local" : [
"modules/common",
"modules/genrams",
"modules/wishbone",
"platform"
]
}
\ No newline at end of file
"local" : [
"modules/common",
"modules/genrams",
"modules/wishbone",
"platform"
]
}
......@@ -4,8 +4,8 @@ files = [
"memory_loader_pkg.vhd"];
if (target == "altera"):
modules = {"local" : ["altera", "generic"]}
modules = {"local" : ["altera", "generic", "common"]}
elif (target == "xilinx" and syn_device[0:4].upper()=="XC6V"):
modules = {"local" : ["xilinx", "xilinx/virtex6"]}
modules = {"local" : ["xilinx", "xilinx/virtex6", "common"]}
elif (target == "xilinx"):
modules = {"local" : ["xilinx", "generic"]}
modules = {"local" : ["xilinx", "generic", "common"]}
files = ["inferred_sync_fifo.vhd",
"inferred_async_fifo.vhd",
"generic_shiftreg_fifo.vhd"]
files = ["generic_async_fifo.vhd",
"generic_sync_fifo.vhd",
"inferred_sync_fifo.vhd",
"generic_shiftreg_fifo.vhd",
"inferred_async_fifo.vhd"]
"generic_sync_fifo.vhd"]
files =["v6_fifo_pkg.vhd", "v6_hwfifo_wrapper.vhd", "generic_async_fifo.vhd", "generic_sync_fifo.vhd"];
files =["v6_fifo_pkg.vhd",
"v6_hwfifo_wrapper.vhd",
"generic_async_fifo.vhd",
"generic_sync_fifo.vhd"];
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment