Commit 5e45f7b1 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

PL-PS AXI GPIO expander for both I/O banks

parent 9f65ec25
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment