Commit a278079d authored by Benny Simonsen's avatar Benny Simonsen

tc114: Non backward compatible,

top needs to be prefixed ?. to autodetect top module.
parent ebc25277
......@@ -7,7 +7,7 @@ syn_device = "M2GL025"
syn_grade = "-1"
syn_package = "484 FBGA"
syn_top = "repinned_top"
syn_top = "?.repinned_top"
syn_project = "demo"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment