Commit c7033901 authored by Tristan Gingold's avatar Tristan Gingold

Adjust baselines after previous commit

parent 584d0162
......@@ -11,12 +11,12 @@ local: sim_pre_cmd simulation sim_post_cmd
VERILOG_SRC := ../files/gate2.v \
../files/gatesv_tb.sv \
VERILOG_OBJ := work/gate2/.gate2_v \
work/gatesv_tb/.gatesv_tb_sv \
VERILOG_OBJ := work\gate2\.gate2_v \
work\gatesv_tb\.gatesv_tb_sv \
VHDL_SRC := ../files/gate.vhdl \
VHDL_OBJ := work/gate/.gate_vhdl \
VHDL_OBJ := work\gate\.gate_vhdl \
simulation:
echo # Active-HDL command file, generated by HDLMake > run.command
......
......@@ -15,13 +15,13 @@ local: sim_pre_cmd simulation sim_post_cmd
VERILOG_SRC := ../files/gate3_tb.v \
VERILOG_OBJ := work/gate3_tb/.gate3_tb_v \
VERILOG_OBJ := work\gate3_tb\.gate3_tb_v \
VHDL_SRC := ../files/gate.vhdl \
../files/gate3.vhd \
VHDL_OBJ := work/gate/.gate_vhdl \
work/gate3/.gate3_vhd \
VHDL_OBJ := work\gate\.gate_vhdl \
work\gate3\.gate3_vhd \
LIBS := work
LIB_IND := work\.work
......@@ -40,19 +40,19 @@ fuse:
work\.work:
(mkdir work && type nul >> work\.work && echo work=work >> xilinxsim.ini) || del /s /q /f work
work/gate/.gate_vhdl: ../files/gate.vhdl
work\gate\.gate_vhdl: ../files/gate.vhdl
vhpcomp $(VHPCOMP_FLAGS) -work work=.\work $<
@mkdir $(dir $@) && type nul >> $@
work/gate3/.gate3_vhd: ../files/gate3.vhd \
work/gate/.gate_vhdl
work\gate3\.gate3_vhd: ../files/gate3.vhd \
work\gate\.gate_vhdl
vhpcomp $(VHPCOMP_FLAGS) -work work=.\work $<
@mkdir $(dir $@) && type nul >> $@
work/gate3_tb/.gate3_tb_v: ../files/gate3_tb.v \
work/gate3/.gate3_vhd
work\gate3_tb\.gate3_tb_v: ../files/gate3_tb.v \
work\gate3\.gate3_vhd
vlogcomp -work work=.\work $(VLOGCOMP_FLAGS) -i ../files $<
@mkdir $(dir $@) && type nul >> $@
......
......@@ -111,7 +111,7 @@ def test_noact():
hdlmake.main.hdlmake(['list-files'])
hdlmake.main.hdlmake(['list-mods', '--with-files'])
def test_ahdl():
def test_ahdl006():
run_compare(path="006ahdl", my_os='windows')
def test_diamond():
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment