Commit 4646c392 authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

first build try without submodules

parent ae83ef6f
......@@ -34,7 +34,7 @@ stages:
tags:
- vivado-template
script:
- cd syn/${PROJECT_NAME}/ && make setup_lib LIB_DIR=test_ci
- cd syn/${PROJECT_NAME}/ && make build
artifacts:
when: on_success
paths:
......
[submodule "ip_cores/general-cores"]
path = ip_cores/general-cores
url = https://ohwr.org/hdl-core-lib/general-cores.git
[submodule "ip_cores/nanofip"]
path = ip_cores/nanofip
url = https://ohwr.org/cern-fip/nanofip/nanofip-gateware.git
[submodule "ip_cores/gn4124-core"]
path = ip_cores/gn4124-core
url = https://ohwr.org/hdl-core-lib/gn4124-core.git
[submodule "ip_cores/wr-cores"]
path = ip_cores/wr-cores
url = https://ohwr.org/hdl-core-lib/wr-cores.git
[submodule "ip_cores/etherbone-core"]
path = ip_cores/etherbone-core
url = https://ohwr.org/hdl-core-lib/etherbone-core.git
[submodule "ip_cores/mockturtle"]
path = ip_cores/mockturtle
url = https://gitlab.cern.ch/coht/mockturtle.git
Subproject commit c1e676dc9d35028910c50431d70328e522396c89
Subproject commit 1c2dd12b1bceeab3b32b41c3522931c658ad15a7
Subproject commit e3a0bf97e125020c83bff6e40199a717e7fda738
mockturtle @ 90f94318
Subproject commit 90f94318cbe6157e3e2bb99f76ec529a945ba48a
Subproject commit e51c789c8d2351b0ff07716e4ae064426337a748
Subproject commit d0d4d09d5f0355dfc6c078171bc6856e580a7496
......@@ -537,13 +537,8 @@ end function;
end package body;
-- Author : auto-generated by wbgen2 from masterfip_csr.wb
<<<<<<< HEAD
-- Created : 07/17/17 17:07:34
-- Version : 0x00020000
=======
-- Created : 06/30/17 14:35:27
-- Version : 0x00010000
>>>>>>> cleanup
-- Standard : VHDL'87
---------------------------------------------------------------------------------------
-- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE masterfip_csr.wb
......
......@@ -17,6 +17,9 @@ MOCKTURTLE_DIR=${LIB_DIR}/mockturtle
NANOFIP_DIR=${LIB_DIR}/nanofip
WR_CORE_DIR=${LIB_DIR}/wr-cores
GN4124_CORE_COMMIT=e3a0bf97e125020c83bff6e40199a717e7fda738
SETUP_CMD=/bin/bash -c
# ##############################################################################
# CI commands
ifdef GITLAB_CI
......@@ -49,16 +52,18 @@ endif
# ##############################################################################
setup_lib:
/bin/bash -c 'echo "Cloninig submodules in ${LIB_DIR}"'
/bin/bash -c ${ETHERNET_CORE_CMD}
/bin/bash -c ${GENERAL_CORES_CMD}
/bin/bash -c ${GN4124_CORE_CMD}
/bin/bash -c ${MOCKTURTLE_CMD}
/bin/bash -c ${NANOFIP_CMD}
/bin/bash -c ${WR_CORE_CMD}
/bin/bash -c 'cd ${GN4124_CORE_DIR} && git checkout e3a0bf97e125020c83bff6e40199a717e7fda738'
${SETUP_CMD} 'echo "Cloninig submodules in ${LIB_DIR}"'
${SETUP_CMD} ${ETHERNET_CORE_CMD}
${SETUP_CMD} ${GENERAL_CORES_CMD}
${SETUP_CMD} ${GN4124_CORE_CMD}
${SETUP_CMD} ${MOCKTURTLE_CMD}
${SETUP_CMD} ${NANOFIP_CMD}
${SETUP_CMD} ${WR_CORE_CMD}
${SETUP_CMD} 'echo "Checkout commit ${GN4124_CORE_COMMIT} in ${GN4124_CORE_DIR}"'
${SETUP_CMD} 'cd ${GN4124_CORE_DIR} && git checkout ${GN4124_CORE_COMMIT}'
build: setup_lib
${SETUP_CMD} 'echo "Building project"'
xtclsh build.tcl
check_build:
......
......@@ -361,8 +361,6 @@ begin
adc_m5v_shdn_n_o <= '0'; -- OFF
adc_5v_en_n_o <= '1'; -- OFF
---------------------------------------------------------------------------------------------------
-- MOCK TURTLE CORE --
---------------------------------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment